登入帳戶  | 訂單查詢  | 購物車/收銀台( 0 ) | 在線留言板  | 付款方式  | 運費計算  | 聯絡我們  | 幫助中心 |  加入書簽
會員登入 新用戶登記
HOME新書上架暢銷書架好書推介特價區會員書架精選月讀2023年度TOP分類瀏覽雜誌 臺灣用戶
品種:超過100萬種各類書籍/音像和精品,正品正價,放心網購,悭钱省心 服務:香港台灣澳門海外 送貨:速遞郵局服務站

新書上架簡體書 繁體書
暢銷書架簡體書 繁體書
好書推介簡體書 繁體書

八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書
五月出版:大陸書 台灣書
四月出版:大陸書 台灣書
三月出版:大陸書 台灣書
二月出版:大陸書 台灣書
一月出版:大陸書 台灣書
12月出版:大陸書 台灣書
11月出版:大陸書 台灣書
十月出版:大陸書 台灣書
九月出版:大陸書 台灣書
八月出版:大陸書 台灣書
七月出版:大陸書 台灣書
六月出版:大陸書 台灣書

『簡體書』零点起飞学Xilinx FPGA

書城自編碼: 3323529
分類:簡體書→大陸圖書→計算機/網絡操作系統/系統開發
作者: 高敬鹏,武超群,白锦良
國際書號(ISBN): 9787302515944
出版社: 清华大学出版社
出版日期: 2019-03-01


書度/開本: 16开 釘裝: 平装

售價:HK$ 107.7

我要買

 

** 我創建的書架 **
未登入.


新書推薦:
机器人学基础   于靖军 王巍
《 机器人学基础 于靖军 王巍 》

售價:HK$ 86.3
骰子世界
《 骰子世界 》

售價:HK$ 57.3
乾隆的百宝箱:清宫宝藏与京城时尚
《 乾隆的百宝箱:清宫宝藏与京城时尚 》

售價:HK$ 135.7
工程机械手册——农林牧渔机械
《 工程机械手册——农林牧渔机械 》

售價:HK$ 457.7
夜幕之下(5、6套装)
《 夜幕之下(5、6套装) 》

售價:HK$ 126.5
国际艺术品市场A-Z:风俗、习惯和惯例的基本指南
《 国际艺术品市场A-Z:风俗、习惯和惯例的基本指南 》

售價:HK$ 78.2
忧伤的群岛:查戈斯人的流散与抗争
《 忧伤的群岛:查戈斯人的流散与抗争 》

售價:HK$ 90.9
现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题
《 现代自建小别墅VR效果图 实用的自建小别墅指南 帮你解决设计难题 》

售價:HK$ 156.4

 

建議一齊購買:

+

HK$ 140.6
《基于Quartus Prime的数字系统Verilog HD》
+

HK$ 140.6
《基于Quartus Prime的FPGA/CPLD数字系统设》
+

HK$ 87.0
《基于Verilog HDL的数字系统设计快速入门》
+

HK$ 88.5
《基于Quartus Prime的FPGA/CPLD数字系统设》
+

HK$ 127.7
《零点起飞学FPGA 零点起飞》
編輯推薦:
? 由浅入深,循序渐进:本书在内容编排上遵循由浅入深、由易到难的原则,基础知 识与大量实例相结合,读者可边学边练
? 实例丰富,涉及面广:本书提供丰富的FPGA程序设计实例,内容涉及电子系统的各个领域
? 兼顾原理,注重实用:本书侧重于实际应用,在编写过程中注重知识的新颖性和实用性,故在书中增加了ISE 14.7软件与ModelSim软件的联合仿真等知识
內容簡介:
《零点起飞学Xilinx FPGA》以三菱公司主流的FX系列PLC为对象讲述PLC知识,全书分为三篇,基础篇、提高篇和应用篇。 基础篇包括PLC的基本概念、系统配置、内部资源、基本指令系统梯形图程序设计、编程工具等;提高篇包括PLC的功能指令、系统设计方法通信功能等;应用篇包含工业机械实例、运动控制工程实例和过程控制工程实例。
《零点起飞学Xilinx FPGA》适合从事PLC设计的工程人员使用,也适合高校相关专业的学生选作教材使用。
目錄
目 录
第1章 FPGA系统设计基础 1
1.1 FPGA技术的发展历史和动向 1
1.1.1 FPGA技术的发展历史 1
1.1.2 FPGA技术的发展动向 2
1.2 FPGA的典型应用领域 3
1.2.1 数据采集和接口逻辑领域 3
1.2.2 高性能数字信号处理领域 4
1.2.3 其他应用领域 4
1.3 FPGA的工艺结构 4
1.4 典型的Xilinx FPGA芯片 5
1.5 FPGA芯片的应用 7
1.6 工程项目中FPGA芯片的选择策略和原则 8
1.6.1 尽量选择成熟的产品系列 8
1.6.2 尽量选择兼容性好的封装 8
1.6.3 尽量选择一个公司的产品 9
1.7 FPGA的设计流程 9
1.8 思考与练习 11
第2章 ISE与ModelSim的安装 12
2.1 ISE的安装 12
2.2 ModelSim SE的安装与启动 18
2.3 ISE联合ModelSim设置 22
2.4 思考与练习 29
第3章 ISE操作基础 30
3.1 ISE的基本使用方法 30
3.1.1 新建工程 30
3.1.2 新建HDL文件 32
3.1.3 添加HDL文件 33
3.1.4 新建原理图设计 33
3.1.5 在原理图中调用模块 34
3.1.6 编辑原理图 35
3.1.7 用Constraints Editor设置约束 38
3.1.8 使用XST进行综合 39
3.1.9 设计实现 42
3.1.10 生成下载文件 44
3.1.11 下载FPGA 45
3.2 仿真验证 47
3.2.1 在ISE中仿真验证 47
3.2.2 在ISE中调用ModelSim 51
3.3 CORE Generator的使用方法 56
3.3.1 新建CORE Generator工程 56
3.3.2 新建IP 59
3.3.3 修改已有IP的参数 61
3.3.4 在设计中例化IP 61
3.3.5 选择不同版本的IP 62
3.4 流水灯实例 63
3.4.1 硬件介绍 63
3.4.2 创建工程 63
3.4.3 编写Verilog代码 65
3.4.4 UCF管脚约束 68
3.4.5 编译工程 70
3.4.6 ISE仿真 70
3.4.7 ModelSim仿真验证 75
3.5 思考与练习 80
第4章 Verilog HDL语言概述 81
4.1 Verilog HDL语言简介 81
4.1.1 硬件描述语言 81
4.1.2 Verilog HDL语言的历史 82
4.1.3 Verilog HDL语言的能力 82
4.1.4 Verilog HDL和VHDL语言的异同 83
4.1.5 Verilog HDL和C语言的异同 83
4.2 Verilog HDL语言的描述层次 84
4.2.1 Verilog HDL语言描述能力综述 84
4.2.2 系统级和算法级建模 84
4.2.3 RTL级建模 85
4.2.4 门级和开关级建模 85
4.3 基于Verilog HDL语言的FPGA开发流程 85
4.4 Verilog HDL语言的可综合与仿真特性 87
4.4.1 Verilog HDL语句的可综合性 88
4.4.2 Verilog HDL语句的仿真特性说明 88
4.5 Verilog HDL程序开发的必备知识 89
4.5.1 数字的表示形式 89
4.5.2 常用术语解释 91
4.5.3 Verilog HDL程序的优劣判断指标 92
4.6 Verilog HDL程序设计模式 93
4.6.1 自顶向下的设计模式 93
4.6.2 层次与模块化模式 94
4.6.3 IP核的重用 94
4.7 思考与练习 98
第5章 Verilog HDL程序结构 99
5.1 程序模块 99
5.1.1 Verilog HDL模块的概念 99
5.1.2 模块的基本结构 99
5.1.3 端口声明 101
5.2 Verilog HDL的层次化设计 101
5.2.1 Verilog HDL层次化设计的表现形式 101
5.2.2 模块例化 102
5.2.3 参数映射 106
5.2.4 在ISE中通过图形化方式实现层次化设计 108
5.3 Verilog HDL语言的描述形式 111
5.3.1 结构描述形式 111
5.3.2 行为描述形式 116
5.3.3 混合设计模式 119
5.4 思考与练习 120
第6章 Verilog HDL语言的基本要素 121
6.1 标志符与注释 121
6.1.1 标志符 121
6.1.2 注释 122
6.2 数字与逻辑数值 122
6.2.1 逻辑数值 122
6.2.2 常量 122
6.2.3 参数 124
6.3 数据类型 124
6.3.1 线网类型 124
6.3.2 寄存器类型 128
6.4 运算符和表达式 132
6.4.1 赋值运算符 132
6.4.2 算术运算符 134
6.4.3 逻辑运算符 136
6.4.4 关系运算符 137
6.4.5 条件运算符 138
6.4.6 位运算符 140
6.4.7 拼接运算符 141
6.4.8 移位运算符 141
6.4.9 一元约简运算符 142
6.5 思考与练习 143
第7章 面向综合的行为描述语句 144
7.1 触发事件控制 144
7.1.1 信号电平事件语句 144
7.1.2 信号跳变沿事件语句 145
7.2 条件语句 146
7.2.1 if语句 146
7.2.2 case语句 147
7.2.3 条件语句的深入理解 150
7.3 循环语句 152
7.3.1 repeat语句 152
7.3.2 while语句 153
7.3.3 for语句 154
7.3.4 循环语句的深入理解 156
7.4 任务与函数 157
7.4.1 task语句 157
7.4.2 function语句 159
7.4.3 深入理解任务和函数 160
7.5 思考与练习 161
第8章 可综合状态机开发 163
8.1 状态机的基本概念 163
8.1.1 状态机的工作原理及分类 163
8.1.2 状态机描述方式 164
8.1.3 状态机设计思想 166
8.2 可综合状态机设计原则 166
8.2.1 状态机开发流程 167
8.2.2 状态编码原则 167
8.2.3 状态机的容错处理 168
8.2.4 常用的设计准则 169
8.3 状态机的Verilog HDL实现 170
8.3.1 状态机实现综述 170
8.3.2 Moore状态机开发实例 173
8.3.3 Mealy状态机开发实例 175
8.4 思考与练习 177
第9章 面向验证和仿真的行为描述语句 178
9.1 验证与仿真概述 178
9.1.1 代码验证与仿真概述 179
9.1.2 测试平台 179
9.1.3 验证测试方法论 181
9.1.4 Testbench结构说明 185
9.2 仿真程序执行原理 186
9.2.1 Verilog HDL语义简介 187
9.2.2 Verilog HDL仿真原理 187
9.3 延时控制语句 189
9.3.1 延时控制的语法说明 189
9.3.2 延时控制应用实例 189
9.4 常用的行为仿真描述语句 192
9.4.1 循环语句 192
9.4.2 force和release语句 194
9.4.3 wait语句 195
9.4.4 事件控制语句 196
9.4.5 task和function语句 197
9.4.6 串行激励与并行激励语句 198
9.5 用户自定义元件 199
9.5.1 UDP的定义与调用 199
9.5.2 UDP应用实例 200
9.6 仿真激励的产生 202
9.6.1 变量初始化 202
9.6.2 时钟信号的产生 205
9.6.3 复位信号的产生 207
9.6.4 数据信号的产生 208
9.6.5 典型测试平台模块编写实例 209
9.6.6 关于仿真效率的说明 210
9.7 思考与练习 210
第10章 系统任务和编译预处理语句 212
10.1 系统任务语句 212
10.1.1 输出显示任务 212
10.1.2 文件输入输出任务 218
10.1.3 时间标度任务 222
10.1.4 仿真控制任务 224
10.1.5 仿真时间函数 224
10.1.6 数字类型变换函数 226
10.1.7 概率分布函数 226
10.2 编译预处理语句 228
10.2.1 宏定义`define语句 228
10.2.2 条件编译`if语句 230
10.2.3 文件包含`include语句 231
10.2.4 时间尺度`timescale语句 233
10.2.5 其他语句 234
10.3 思考与练习 235
第11章 Verilog HDL语言基础 236
11.1 8-3编码器 236
11.2 3-8译码器 237
11.3 数据选择器 238
11.4 多位数值比较器 240
11.5 全加器 241
11.6 D触发器 242
11.7 寄存器 243
11.8 双向移位寄存器 244
11.9 四位二进制加减法计数器 245
11.10 顺序脉冲发生器 247
11.11 序列信号发生器 248
11.12 思考与练习 249
第12章 扩展接口设计 250
12.1 数码管显示接口实验 250
12.1.1 数码管显示接口实验内容与实验目的 250
12.1.2 数码管显示接口设计原理 251
12.1.3 数码管显示接口设计方法 252
12.2 LCD液晶显示接口实验 259
12.2.1 LCD液晶显示接口实验内容与实验目的 259
12.2.2 LCD液晶显示接口设计原理 259
12.2.3 LCD液晶显示接口设计方法 262
12.3 VGA显示接口实验 267
12.3.1 VGA显示接口实验内容与实验目的 267
12.3.2 VGA显示接口实验设计原理 268
12.3.3 VGA显示接口实验设计方法 269
12.4 RS-232C串行通信接口实验 271
12.4.1 RS-232C串行通信接口实验内容与实验目的 271
12.4.2 RS-232C串行通信接口设计原理 271
12.4.3 RS-232C串行通信接口设计方法 274
12.5 思考与练习 279
第13章 系统设计实例 280
13.1 实时温度采集系统 280
13.1.1 实时温度采集系统实验内容与实验目的 280
13.1.2 实时温度采集系统设计原理 280
13.1.3 实时温度采集系统设计方法 283
13.2 实时红外采集系统 299
13.2.1 实时红外采集系统实验内容与实验目的 299
13.2.2 实时红外采集系统设计原理 299
13.2.3 实时红外采集系统设计方法 301
13.3 实时键盘采集系统 305
13.3.1 实时键盘采集系统实验内容与实验目的 305
13.3.2 实时键盘采集系统设计原理 306
13.3.3 实时键盘采集系统设计方法 308
13.4 思考与练习 320
內容試閱
前 言
电子工业的飞速发展和电子计算机技术的广泛应用,促进了电子设计自动化技术日新月异的发展。FPGA是英文Field Programmable Gate Array的缩写,即现场可编程门阵列,它是在可编程阵列逻辑(Programmable Logic Array,PAL)、通用阵列逻辑(Generic Array Logic,GAL)、复杂可编程逻辑器件(Complex Programmable Logic Devices,CPLD)等器件的基础上进一步发展的产物。它是作为专用集成电路(Application Specific Integrated Circuit,ASIC)领域中的一种半定制电路出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点,广泛应用于航空、航天、汽车、造船、通用机械和电子等工业的各个领域。
本书结合Verilog HDL硬件描述语言,以Xilinx公司的ISE 14.7和Model Technology公司的ModelSim作为FPGA软件设计工具,详细阐述了使用FPGA设计的方法和开发 过程。
本书以ISE 14.7和ModelSim开发环境为背景,介绍FPGA产品开发的完整解决方案。全书共13章,主要内容包括FPGA系统设计基础、ISE与ModelSim的安装、ISE操作基础、Verilog HDL语言概述、Verilog HDL程序结构、Verilog HDL语言基本要素、面向综合的行为描述语句、可综合状态机开发、面向验证和仿真的行为描述语句、系统任务和编译预处理语句、Verilog HDL语言基础程序设计、扩展接口设计和系统设计实例等,最后通过工程实例,将FPGA开发语言、开发思想和实际工程完美结合。
为了使初学者迅速入门,提高对电子系统设计的兴趣与爱好,并能在短时间内掌握电子系统设计开发的要点,作者在编写过程中注重内容的选取,使本书具有以下特点。
由浅入深,循序渐进:在内容编排上遵循由浅入深、由易到难的原则,将基础知识与大量实例结合,使读者可以边学边练。
实例丰富,涉及面广:提供了丰富的FPGA程序设计实例,内容涉及电子系统的多个领域。
兼顾原理,注重实用:侧重于实际应用,精炼理论讲解内容。考虑到基本原理和基本应用一直是学习FPGA技术的基本要求,为了紧随FPGA技术的发展,在编写过程中作者注重知识的新颖性和实用性,因而在书中讲解了ISE 14.7与ModelSim联合仿真等内容。
本书第1~3章与第9~12章由哈尔滨工程大学高敬鹏编写,第4章、第5章由黑龙江大学的曹立文编写,第6~8章由黑龙江工程学院武超群编写,第13章由北京航天长征飞行器研究所白锦良编写。参加本书编写工作的人员还有管殿柱、宋一兵、王献红、李文秋。
感谢您选择了本书,希望我们的努力对您的工作和学习有所帮助,也希望您把对本书的意见和建议告诉我们。
零点工作室网站地址:www.zerobook.net
零点工作室联系信箱:syb33@163.com
零点工作室
2019年1月

 

 

書城介紹  | 合作申請 | 索要書目  | 新手入門 | 聯絡方式  | 幫助中心 | 找書說明  | 送貨方式 | 付款方式 香港用户  | 台灣用户 | 大陸用户 | 海外用户
megBook.com.hk
Copyright © 2013 - 2024 (香港)大書城有限公司  All Rights Reserved.